Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Проектування та дослідження макроелементів

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Не вказано
Кафедра:
Не вказано

Інформація про роботу

Рік:
2014
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Проектування вбудованих комп’ютерних систем

Частина тексту файла

Міністерство освіти і науки України Національний університет „Львівська політехніка” Звіт з лабораторної роботи № 2 з дисципліни: “Проектування вбудованих комп’ютерних систем” На тему: Проектування та дослідження макроелементів . Львів 2014 Мета роботи: засвоєння навичок роботи у середовищі Active-HDL, засвоєння методів Проектування макроелементів для вбудованих комп’ютерних систем. Початковими даними для лабораторної роботи №2 «Проектування макроелементів» є результати виконання лабораторної роботи №1 “Дослідження вузлів вбудованх комп’ютерних систем”. / Хід роботи Заходжу у середовище проектування Aldec Active-HDL Student Edition. / Знаходжу на диску і запускаю проект з лабораторною роботою №1 “Дослідження вузлів вбудованх комп’ютерних систем”: / Відкриваю схему з вузлами лабораторної роботи №1: / Відкриваю новий аркуш схеми: / Додаю новий аркуш до проекту: / Задаю мову опису: / Задаю ім’я схеми: / Закінчую введення схеми до проекту: / На дисплеї з’являється зображення нового аркуша: / Копіюю з лабораторної роботи №1 частину схеми, яка реалізує задані функції на бібліотечних елементах: / / Вставляю на новому аркуші / скопійована схема на новому аркуші /: І запам’ятовую її / . (запам’ятовую її під іменем, яке містить моє прізвище та ознаку _sch). Проводжу компіляцію нової схеми: / Після успішної компіляції / У бібліотеці елементів з’являється новий елемент з ім’ям відкомпільованої схеми: / Аналогічно створюю новий аркуш із фрагментами схеми лабораторної роботи №1, утовореної за допомогою генератора ядер, запам’ятовую її під іменем, яке містить моє прізвище та ознаку _gen: / / Аналогічно створюю новий аркуш із фрагментами схеми лабораторної роботи №1, утовореної за допомогою VHDL-описів, запам’ятовую її під іменем, яке містить прізвище студента та ознаку _vhd: / Проводжу компіляцію новоутворених схем, створюю відповідні бібліотечні елементи: / Відкриваю новий аркуш схеми: / Запам’ятовую цю схеми під новим ім’ям, яке містить моє прізвище та ознаку _all: / Розміщую на новому аркуші новоутворені макроелементи: / Малюю вхідні ти вихідні зв’язки та контакти, даю їм назви, які збігаються з назвами входів та виходів елементів (це необов’язково, але полегшить наступне моделювання): / Проводжу компіляцію утвореної схеми /. Пересівідчуюся, що у бібліотеці елементів з’явився відповідний бібліотечний елемент. / Встановляю схему, яка буде моделюватися: Переходжу до режиму моделювання: / Промодельовую створену схему, користуючись командним файлом з лабораторної роботи №1. Пересвідчуюсь, що усі три схеми працюють однаково. / Відкриваю новий аркуш схеми. Запам’ятовую цю схему під новим ім’ям, яке містить моє прізвище та ознаку _one. Розміщую на цій схемі новоутворений бібліотечний елемент з ознакою –all, під’єднюю до нього зв’язки, позначаю входи та виходи: / Проводжу компіляцію утвореної схеми. / Пересвідчуюся, що у бібліотеці елементів з’явився відповідний бібліотечний елемент. / Встановлюю схему, яка буде моделюватися: Переходжу до режиму моделювання: / Моделюю створену схему, користуючись командним файлом з лабораторної роботи №1. Провіряю, що результати моделювання не змінилися. Двічі клацаючи мишкою на тілі жовтих макроелементів відкриваю їхню внутрішню схему. Доходжу таким способом до VHDL-описів або до бібліотечних елементів. Відкриваю і проаналізовую VHDL-описи усіх створених макроелементів: Порівнюю макроелемент з його внутришнім описом / Заходжу в редактор символів: / Командний файл GRS_one.vhd : ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- Title : GRS_one -- Design : GRS_lr1 -- Author : R.Grabovych --...
Антиботан аватар за замовчуванням

25.05.2014 12:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини